先进封装一站式解决方案

       目前集成电路的集成方式主要有三种:SoC、SiP、PCB。三者的区别是电路集成载体不同,SoC是将不同的功能模块集成在芯片上,SiP是集成在封装上,PCB则是集成在电路板上。随着电子产品对小型化、低功耗、高性能的要求越来越高,大尺寸、低密度集成和低可靠性的PCB在很多应用场景已经不能满足需求。SoC可以完美解决PCB的问题,但随着半导体工艺逼近物理极限,持续几十年的“摩尔定律”接近失效。工艺节点每提升一代,SoC的开发周期与成本都大幅度提高,IC厂商们渐渐对芯片集成方式失去了信心和耐心。取而代之的是近些年火热的SiP封装技术,SiP封装是一种将不同有源芯片、无源器件集成到一个封装内组成一个系统的高密度集成技术。鉴于系统对性能、功耗、密度的要求越来越高,SiP封装开始更多地使用2.5D/3D/晶圆级先进封装工艺,加上最近流行的chiplet(芯粒,不同功能电路做成单独的小芯片)技术,以3D-IC为引领的先进封装技术在SoC和PCB之间取得了不错的平衡,目前已经成为包括芯片产业界和投资界的都普遍关注的热点技术之一。

一.先进封装给设计公司带来的挑战

        由于在性能、体积和成本等各方面的均衡和优异表现,越来越多的封装厂、系统和IC公司纷纷开始或者正在考虑布局SiP/先进封装产品研发,但面对这一先进技术的开发,很多公司都碰到了不小的难题和挑战。

       SiP及3D-IC为代表的先进封装是一个集成了各种有源和无源器件的复杂高性能系统,普遍具有多芯片集成、三维芯片堆叠、先进封装工艺等带来的诸多技术上的挑战。

1.设计与仿真的挑战:从电路原理设计、芯片如何堆叠、多层基板设计、电性能仿真、散热问题分析等,芯片设计公司或者传统封装设计者以前无需考虑这么多问题,因此遇到这么多设计挑战会变的无法胜任。

2.设计团队的稀缺:先进封装工艺出现了硅基设计,这对传统封装设计者来说是陌生的元素。对于IC设计者,系统电路设计、板级设计、先进封装也都不是他们擅长的。PCB专家在设计SiP基板时,会发现更多的问题,设计寄生参数、散热、应力等等。行业内有经验的SiP与先进封装设计设计团队相当稀缺和昂贵,导致很多客户有想法和计划,但设计与管理团队的组建遭遇困难,从而导致落地进度相当慢。

3.先进封装的产能匮乏:SiP封装里往往具有很多不同功能的裸芯片,这些芯片的来源对于设计者来讲是一个非常头疼的问题。因为芯片厂商对裸芯片出货的把控很严,很多公司甚至禁止出售,加上贸易战的影响,用户想拿到裸芯片的难度非常大。另外,SiP/先进封装产品一般生产量不大,大部分是打样做方案验证,加上近几年封装厂超负荷运转,大的封装厂往往不愿意接该类订单。我们很多客户在规划SiP产品时,普遍都遇到了这个问题,并向我们寻求可以接受小批量、打样的封装厂资源。

二.先进封装一站式服务平台

      上海图元作为一家集成电路与电子系统研发综合服务提供商,我们提供SiP及3D-IC/2.5D-IC先进封装的设计与生产一站式服务。专业的Sip与先进封装设计团队,设计过各种功能的SiP产品,从消费级到工业级涵盖了各行各业,能为客户提供快速高效低成本的设计方案。作为Cadence官方授权的战略合作伙伴,技术团队拥有业界领先的全流程EDA工具及使用经验,可以提供完整的芯片/封装/系统Co-Design和多物理场封装系统分析,我们的仿真工程中心具备SiP/先进封装需要的SI/PI/EMC/热/结构分析能力,提供从封装到系统级仿真的整体解决方案。

       在多年的封装项目实施过程中,图元封装工程团队积累了良好的裸芯片资源供应链,可以帮助客户解决部分裸芯及物料采购问题。在与客户的合作中,我们长年积极拓展封装加工与测试资源,特别是针对复杂的SiP、先进封装,拥有了丰富且稳定的封装厂资源,包括多家具备晶圆级/SiP生产能力的封装厂,还包括多家功率、汽车、IGBT、射频封装厂资源,可以很好地满足客户打样快封或量产需求。我们拥有优质高效的封装制造与测试项目管理体系,具备针对快封和量产的专业管理能力,提供多维度封测服务,保证客户项目顺利高质量地完成封测。

三.SiP先进封装一站式解决方案

 

1、SiP设计EDA平台

----模型提取----

▶ XtractIM

XtractIM 是一款专门针对IC封装的宽带模型提取及封装性能评估工具。XtractIM能够生成标准的IBIS格式和SPICE子电路格式的封装模型。提取出的模型可以是各引脚或各网络的RLC网表,可以是带耦合参数的矩阵,也可以是Pi/T型SPICE子电路。XtractIM生成的模型可以用来评估封装模型电性能的好坏,也可用于系统级的SI和PI的仿真。

 

▶ XcitePI

XcitePI 是以芯片为中心的仿真和模型提取工具,可以用来设计和验证电源分配网络(PDN)和高速I/O。XcitePI可以提取芯片PDN模型和I/O互连模型。用户可以选择对部分结构或者整个芯片提取模型。模型提取考虑到整个芯片电源网格所有导体的寄生电阻,电容和电感的耦合。XcitePI提取的模型可以进一步用在系统级分析或者芯片-封装-PCB的协同设计。XcitePI还支持时域和频域的芯片PDN仿真,评估I/O电源地和信号的性能。

 

▶ PowerSI

PowerSI 可以为PCB和IC封装提供快速准确的通用频域电磁场分析,如S参数、Z参数的模型提取,空间模式下的噪声耦合分析,EMC/EMI分析,谐振模式分析,走线阻抗和耦合检查等。从而有助于解决高速电路设计中日益突出的各种PI和SI问题:如信号和电源网络布线质量的定量分析和耦合分析,电源平面的噪声分布和去耦电容的放置,封装的电磁辐射,封装结构中可能存在的谐振模式,以及走线的整体阻抗检查和耦合分析等。PowerSI可以在布局布线前用于创建PI和SI的布线规范,也可以在布局布线后用于发现或改善潜在的设计风险。

 

▶ Clarity

Clarity 3D 求解器是一款针对互联PCB,IC封装和系统集成封装设计的3D电磁(EM)仿真工具。Clarity 3D Solver可在设计5G,汽车,高性能计算(HPC)系统和具有高标准精度的机器学习应用程序时解决复杂的电磁(EM)挑战。业界领先的Cadence分布式多处理技术使Clarity 3D解算器能够提供几乎无限的容量和10倍的速度,从而有效地解决更大、更复杂的结构问题。它创建了高度精确的S参数模型,用于信号完整性(SI),电源完整性(PI)和电磁兼容性(EMC)分析,使仿真结果与实验室测量相匹配。Clarity 3D Solver可以通过有效地将可用计算资源与设计大小相匹配来解决真正的3D结构。

 

----信号完整性----

▶ SystemSI Serial Link Analysis

SystemSI Serial Link Analysis 是专用于高速SerDes接口(如PCI-e, HDMI, SFP+, XAUI, Infiniband, SAS, SATA, USB等)进行系统级芯片到芯片验证的仿真工具。SystemSI-SLA时域、频域和统计分析相结合的技术确保高速串行互连分析的效率和仿真精度, SystemSI-SLA采用易用的模块化拓扑编辑系统网表,支持多种SPICE子电路模型(如IBIS, Device, Touchstone, BNP等),采用时域、频域和统计相结合的分析技术,通过进行无源通道频域响应分析、通道特性时域冲激分析、大容量数据码型的统计分析、误码率分析等,提取系统链路的统计眼图、浴盆曲线等特征参数,为串行链路系统性能评估提供依据。SystemSI-SLA支持各种领先的AMI/VMI模型,通过先进的串扰、抖动、噪声分析和灵活的参数扫描分析,并充分考虑信号反射、串扰、码间干扰、SSN等对信号质量的影响。

 

 SystemSI Parallel Bus Analysis 

SystemSI Parallel Bus Analysis 是专门针对源同步高速并行总线接口(如DDRx)而开发的系统级芯片到芯片验证工具。SystemSI-PBA的前仿真能力(包括3D全波Via-wizard建模能力)确保精确的宽带模型能够快速产生并与其他系统模块连接。而后仿真能力允许用户加入优化的、包含更多细节信息的实际版图模型并进行最终的验证分析。所有的SI效应如导体/介质损耗、反射、ISI码间干扰、串扰以及同步翻转噪声(SSN)等都能在一个仿真引擎中同步考虑。其非理想电源仿真能力能精确模拟真实PDN噪声对信号的干扰。

 

 

----电源完整性----

 Celsius

Celsius Thermal Solver 是业内针对从集成电路到物理部件全电子系统所设计的一款完整电热协同仿真解决方案。Celsius Thermal Solver能够与Cadence IC、封装和基板设计平台实现无缝集成。利用创新的多物理场技术应对这些挑战。将实体结构有限元分析(FEA)与计算流体动力学(CFD)相结合,Celsius Thermal Solver可以在同一工具内完成系统分析。Celsius Thermal Solver帮助工程团队结合电气和热力分析,进行电力和热力流动仿真,从而获得比传统工具更精确的系统级热力仿真结果。此外,Celsius Thermal Solver基于先进3D 结构中电力的实际流动,执行静态(稳态)和动态(瞬态)电热协同仿真,提供了对真实世界系统行为的预见性。

 

 PowerDC

PowerDC 能对IC封装提供快速准确的直流分析和电热协同分析,是一款能对基板和IC封装设计进行电热协同仿真分析的工具,其提供了一个详细的工作流程帮助仿真工程师发现设计中隐含的直流压降问题、电流密度问题和热可靠性问题。PowerDC能支持多Die堆叠的封装设计,能进行复杂设计的DRC检查,可以得到Die、过孔和封装等各组件的温度,还可以得到JEDEC定义的各种封装热参数模型。

 

 OptimizePI

OptimizePI 应用Sigrity的电磁分析和优化算法可以使IC封装PDS网络的性能或成本达到良好的。OptimizePI可以帮助设计人员自动地在合适的位置放置合适容值的去耦电容,来确保产品设计以低的成本或小的面积满足电源分配系统(PDS)的性能目标,优化电源平面谐振,或者在不增加电容种类的情况下实现良好的PI、EMI性能。

 

2、SiP设计服务

提供封装设计、加工、验证的整套交钥匙方案。具体包括方案、原理图、布局、布线的全流程设计,还有信号完整性、电源完整性、电磁兼容以及热/应力分析的完整仿真解决方案。

 

3、SiP系统级多物理场仿真

采用Cadence的Sigrity系列仿真工具,支持全波段三维快速仿真、支持业界先进的高速串并行仿真、电热相互影响下的协同仿真、针对电容的极优化性价比仿真、以及信号完整性和电源完整性仿真。

 

四.图元芯片封装一站式解决方案优势

▶ 一站式服务:经过多年的SiP开发经验,建立了完整的SiP开发及生产一站式服务;

▶ 专业的EDA使用经验:作为Cadence代理,SiP设计仿真全流程工具应用经验丰富、专业程度高;

▶ 优质裸芯资源:快速拿到需要的裸芯,帮助客户迅速确定方案设计;

▶ 先进的封装加工:与多家国内外主流的封装厂建立了长期合作,提供先进全面的封装加工,满足打样和量产需求;

▶ 高性价比:低设计费用、低NRE费用,高性价比加工。

      图元芯片封装一站式解决方案为客户提供从封装设计仿真到生产的各个环节,特别是针对SiP、先进封装等高端封装,我们有成熟的技术方案和平台资源帮助客户实现项目落地。

 

解决方案